您的浏览器禁用了JavaScript(一种计算机语言,用以实现您与网页的交互),请解除该禁用,或者联系我们。[华金证券]:探寻2024年新股择股路径(二):从沉积设备论半导体设备的国产化加速机遇 - 发现报告
当前位置:首页/行业研究/报告详情/

探寻2024年新股择股路径(二):从沉积设备论半导体设备的国产化加速机遇

金融2023-12-20李蕙华金证券E***
探寻2024年新股择股路径(二):从沉积设备论半导体设备的国产化加速机遇

证券研究报告 新股研究2023年12月20日 探寻2024年新股择股路径(二): 从沉积设备论半导体设备的国产化加速机遇 新股专题报告 分析师:李蕙S0910519100001 本报告仅供华金证券客户中的专业投资者参考请仔细阅读在本报告尾部的重要法律声明 半导体周期迎来向上拐点,叠加我国自主可控政策持续推进下,我国半导体设备有望迎来加速发展机遇。薄膜沉积设备作为晶圆制造前道设备中的核心设备之一,目前国产化率仍维持较低水平;我们发现半导体薄膜沉积设备各品类国产化进度有所分化,国产厂商目前仅在小部分产品的国产替代上取得一定成效。 展望未来,我们认为薄膜沉积设备即将到达国产化加速的节点,原因包括:(1)国产厂商逐步打破国外技术垄断,多项关键细分设备已到达产业化突破节点;(2)半导体周期或触底向上,国内半导体销售额经历今年3月的低点后同比增速连续7个月上升,而大陆晶圆厂实际产能与规划产能之间仍有较大差距,扩产有望加速;(3)今年以来,美国、日本、荷兰等国启动对华半导体设备出口管制,薄膜沉积设备为重点限制领域之一,或对国产加速形成催化。 集成电路产业自主可控政策背景下,半导体量检测设备、温控设备及工艺废气处理设备等细分领域国产化有望加速发展,薄膜沉积设备国产化的快速提升或将进一步带动资本市场对于上述细分领域的关注。我们推荐关注的新股及次新股标的包括:中科飞测、京仪装备、微导纳米。 风险提示:半导体产业复苏不及预期、设备产业化不及预期、核心零部件供应风险、技术开发与迭代升级的风险等风险 01 薄膜沉积设备行业概况 02 03 薄膜沉积设备国产化较慢,细分品类国产进度有所分化多重因素共同作用下,国产化有望提速 04 蝴蝶效应:或引起对其他国产化有望加速的半导体设备的关注 05 推荐关注新股:中科飞测、京仪装备、微导纳米 01 薄膜沉积设备行业概况 02 03 薄膜沉积设备国产化较慢,细分品类国产进度有所分化多重因素共同作用下,国产化有望提速 04 蝴蝶效应:或引起对其他国产化有望加速的半导体设备的关注 05 推荐关注新股:中科飞测、京仪装备、微导纳米 图1:薄膜沉积设备整机 图2:薄膜沉积设备细分品类占比 薄膜沉积技术是将各类化学反应源用外部能量激活,并将形成的原子、离子或活性反应基团等在衬底表面进行吸附、聚结,从而形成不同介质的薄膜。薄膜沉积工艺环节是半导体前道工艺流程的一环,对应的设备即为薄膜沉积设备。根据工作原理的不同,薄膜沉积目前主流技术路线包括化学气相沉积(CVD)、物理气相沉积(PVD)及外延三大类。 注:左为北方华创PVD设备,右为拓荆科技CVD设备 4% 4% 6% 33% 11% 11% PECVD 溅射PVD管式CVDALD 非管式LPCVD 其他薄膜沉积设备 M-CVD 电镀ECD 12% 19% 步骤1:反应物 进入反应室并被激活 步骤2:反应物 在基片表面发生反应并形成薄膜 步骤3:随着新的 反应物持续通入,薄膜持续生长 化学气相沉积(CVD)法是在将硅片控制到预定温度后,使用射频电磁波作为能量源在硅片上方形成低温等离子体,再通入适当的化学气体,在等离子体的激活下,经一系列化学反应在硅片表面形成固态薄膜;在此过程中,必须采用低压、高基片温度,以使得反应仅发生在基片表面而非气相层中。完整的反应过程大致可分为三个步骤: 根据反应条件及生长源的不同,CVD设备又可分为常压CVD(APCVD)、低压CVD(LPCVD)、等离子增强CVD(PECVD)、金属CVD(MetalCVD)、金属有机CVD(MOCVD)等。 表1:CVD设备类型对比 CVD设备类型 薄膜生长源 薄膜生长温度(摄氏度) 生长速率 反应腔室工作压力 衬底承载方式 等离子体源 常压CVD(APCVD) 前驱物 550-1100 2-300μm/h 常压 承载舟 无 低压CVD(LPCVD) 前驱物 350-1100 0.1-1μm/h 低压(0.1-10Torr) 承载舟 无 等离子增强CVD (PECVD) 气态前驱物 室温-700 <2μm/min 常压或低压 (760Torr或 0.05-5Torr) 加热/射频基座/承载舟 射频(100kHz-40MHz) 金属CVD(MetalCVD) 金属无机化合物前驱物/金属有机化合物前驱物 <550 4-350nm/min 1-300Torr 加热/射频基座 射频(13.56-60MHz) 金属有机CVD (MOCVD) 前驱物 500-1100 1-2μm/h 低压(1-100Torr) 加热基座 无 原子层沉积设备(ALD)属于CVD设备的一种,相较普通CVD工艺,ALD可通过将两种或以上的前驱物交替通过衬底表面,同时通过稀有气体的吹扫实现隔离,以控制生长周期的数目、达到对沉积膜厚的精确调节,因此该工艺具有厚度控制精确、薄膜台阶覆盖率好、膜厚均匀且一致性优良等优点,从45nm技术代开始逐渐被广泛使用。 图3:喷淋头式热原子层沉积设备工作原理图 图4:ALD和CVD成膜效果对比 物理气相沉积(PVD)法是指利用热蒸发或受到粒子轰击时物质表面原子的溅射等物理过程,实现物质原子从源到衬底表面的转移,从而形成薄膜。PVD法可分为真空蒸镀及溅射两大类型,在薄膜沉积技术发展初期,真空蒸镀技术占据主流,其工艺简单、操作容易,但无法蒸发难熔金属和氧化物材料;随着集成电路进入铜互连时代,溅射技术逐渐成为主流。 图5:PVD工艺示意图 图6:真空蒸镀设备工作示意图 图7:磁控溅射设备工作示意图 半导体是现代工业发展的血液,实现半导体产业自主可控已上升至国家战略层面,近年来国家陆续出台政策,从财税、产业、投融资等多方面支持半导体产业发展。 薄膜沉积设备用于半导体前道工艺中的薄膜沉积环节,在晶圆制造过程中,薄膜起到导电/绝缘、阻挡杂质渗透、提高吸光率、临时阻挡刻蚀等重要作用,是半导体制造的关键一环,因此薄膜沉积设备与光刻设备、刻蚀设备并列为集成电路前道生产工艺的三大核心设备。 图8:半导体前道工艺所需设备一览 表2:半导体设备国产化率 扩散 离子注入 光刻 刻蚀 薄膜沉积 金属化 CMP 氧化炉 等离子 涂胶/显 等离子 CVD设备 PVD设备 CMP设备 RTP设备 去胶机 影设备 体刻蚀 PVD设备 CVD设备 刷片机 激光退火 离子注入机 光刻机 等离子去胶机湿法刻蚀设备 ALD设备气相外 电镀设 设备种类 国产化率 光刻机 <1% 量检测设备 <5% 涂胶显影设备 <10% 离子注入设备 <10% 薄膜沉积设备 <20% 刻蚀设备 >30% CMP设备 >30% 热处理设备 >30% 清洗设备 >30% 备 延炉 近年来,我国积极致力于半导体产业各环节国产化率提升,据SEMI数据,2022年中国晶圆厂商半导体设备国产化率已达到35%;但技术壁垒较高的集成电路前道设备国产化率目前仍然较低,其中薄膜沉积设备自给率低于20%。 半导体设备支出是晶圆厂资本支出的关键组成部分,据SEMI数据,晶圆制造设备在半导体设备中占比达到88%,是最重要的半导体设备品类;而薄膜沉积设备在晶圆制造设备中占据22%的份额。 3500 3000 2500 2000 1500 1000 500 0 2017 2018 2019 2020 2021 2022 2023E 半导体设备薄膜沉积设备 图10:中国大陆半导体设备及薄膜沉积设备市场规模(亿元) 17% 7% 5% 22% 22% 88% 39% 封装及组装设备测试设备 光刻设备刻蚀设备 薄膜沉积设备 其他设备 图9:薄膜沉积设备占晶圆制造设备比例超过五分之一 据SEMI、中商产业研究院数据,2022年中国大陆半导体设备市场规模为2745.15亿元;根据前述薄膜沉积设备的占比估算,2022年中国大陆薄膜沉积设备市场规模或超过531亿元。 技术壁垒高:薄膜沉积工艺路线多样,而随着集成电路沿摩尔定律不断演进,又呈现“一代设备、一代工艺、一代产品”的发展规律,对设备厂商的研发能力、技术迭代能力有较高的要求;而薄膜沉积设备作为集成电路前道制造中的核心设备之一,技术壁垒极高,以现有主流国产薄膜沉积设备厂商而言,基本上需要具备承接国家重大专项的技术能力,对于核心技术人员的要求亦较高。 表3:现有国产薄膜沉积设备商都曾承担国家重大专项 表4:主流国产薄膜沉积设备商核心人员履历 企业名称项目名称 拓荆科技 北方华创 90-65nm等离子体增强化学气象沉积设备研发与应用 企业名称 姓名 职务 简介 拓荆科技 姜谦 董事 美国布兰迪斯大学博士;先后任职于麻省理工学院、英特尔公司、 美国诺发,历任研究员、研发副总裁等职 吕光泉 董事长 美国加州大学圣地亚哥分校博士;先后任职于美国科学基金会尖端电子材料研究中心、美国诺发、德国爱思强公司美国SSTS部,历任副研究员、工程技术副总裁等职。 田晓明 总经理 美国东北大学电子工程学硕士,新加坡南洋理工大学工商管理硕士;先后任职或就读于江西景光电子有限公司、美国东北大学、美国CodiSemiconductor,Inc.、泛林半导体、尼康精机(上海)有限公司,历任设计工程师、资深副总裁等职。 张孝勇 副总经理 美国马里兰大学化学工程博士;曾就职于美国诺发,在PECVD及ALD产品部历任工艺开发工程师、资深工艺开发工程师、超低介电质工艺开发经理、资深重要客户经理。 北方华创 赵晋荣 董事长、执行委员会主席、党委书记 教授级高级工程师;曾任北京建中机器厂总工程师、常务副厂长,现任中国电子专用设备工业协会理事长,中国集成电路装备创新联盟理事长,中国集成电路产业技术创新联盟副理事长 陶海虹 董事、执行委员会副主席、总裁、党委副书记 曾任北京建中机器厂真空设备设计所副所长,微电子设备分公司技术副经理,北京北方微电子基地设备工艺研究中心有限责任公司总裁助理等。现任北京电子学会副理事长等 1xnm3DNANDPECVD研发及产业化国家科技重大专项课题A(ALD相关) 国家科技重大专项课题B(先进工艺PECVD相关) 国家02专项承担单位 28-14nm原子层沉积系统(ALD)产品研发及产业化 14-7nmCuBS多工艺腔室集成装备研发及产业化 表5:我国主流薄膜沉积设备厂商情况 竞争格局趋优:由于集成电路技术复杂、价格昂贵,且薄膜沉积作为前道工艺的核心之一对芯片性能起决定性作用,较高的技术壁垒加之客户使用习惯、集成电路产业规模效应等因素,使得海外薄膜沉积设备市场呈现寡头竞争格局。与成熟市场格局相似,我国目前主流薄膜沉积设备厂商仅有拓荆科技、北方华创、中微公司及微导纳米,且各家集中在不同的工艺路线,竞争格局较优。 图11:全球薄膜沉积设备竞争格局 CVD PVD 应用材料(AMAT) 30% 30% 15% 19% 泛林半导体(LamResearch) 东京电子(TEL) 应用材料 (AMAT) 21% 85% 其他 其他 ALD 40% 31% 东京电子(TEL) ASM 其他 29% 企业名称 设立时间 收入规模(亿元) 工艺路线 产线覆盖能力 拓荆科技 2010年 17.06 CVD\ALD 产品已广泛应用于国内晶圆厂14nm及以上制程集成电路制造产线,并已展开10nm及以下制程产品验证测试。已适配国内最先进的28/14nm逻辑芯片、19/17nmDRAM芯片和64/128层3DNANDFLASH晶圆制造产线。 北方华创 2001年 146.88 PVD\ALD\LPCVD 应用于28nm/300mm晶圆生产的HardmaskPVD设备已成为国内主流芯片代工厂的Baseline设备,14nm薄膜沉积设备已在客户端实现量产应用 中微公司 2004年 47.4 MOCVD\LPCVD\ALD 用于蓝光LED的PrismoD-Blue®、PrismoA7®MOCVD设备