您的浏览器禁用了JavaScript(一种计算机语言,用以实现您与网页的交互),请解除该禁用,或者联系我们。[光大证券]:系列跟踪报告之七:内生外延实力雄厚,国产化持续放量 - 发现报告
当前位置:首页/公司研究/报告详情/

系列跟踪报告之七:内生外延实力雄厚,国产化持续放量

2023-05-29光大证券从***
系列跟踪报告之七:内生外延实力雄厚,国产化持续放量

内生外延实力雄厚,国产化持续放量 ——中微公司(688012.SH)系列跟踪报告之七 作者:光大证券电子通信组首席刘凯,执业证书编号:S0930517100002 光大证券电子通信分析师杨德珩,执业证书编号:S0930522110003 2023年05月29日 证券研究报告 招商基金 核心观点 目录 中微公司概况 半导体设备行业发展趋势公司核心竞争力 盈利预测与估值分析投资建议 风险分析 中微公司(688012.SH)是一家以中国为基地、面向全球的高端半导体微观加工设备公司,深耕芯片制造刻蚀领域,研制出了国内第一台电介质刻蚀机,核心产品包括:(1)用于IC集成电路领域的等离子体刻蚀设备(CCP&ICP)、深硅刻蚀设备(TSV); (2)用于LED芯片领域的MOCVD设备。目前公司等离子体刻蚀设备已被广泛应用于国际一线客户从65纳米到14纳米、7纳米和5纳米的集成电路加工制造及先进封装,MOCVD设备在行业领先客户的生产线上大规模投入量产,已成为世界排名前列、国内占主导地位的氮化镓基LED设备制造商。此外,公司正在积极扩展薄膜沉积类设备,例如LPCVD、EPI、ALD等。   姓名 职务 介绍 1944年生,美国国籍,中国科学技术大学学士,加州大学洛杉矶分校博士。1984年至1986年,就职于英特尔中心技术开发部,担任工艺工程师;1986年至1991年,就职于泛林半导体,历任研发部资深工程师、研发部资深经理;1991年至2004年,就职于应用材料,历任等离子体刻蚀设备产品总部首席技术官、总公司副总裁及等离子体刻蚀事业群总经理、亚洲总部首席技术官;2004年至今,担任中微公司董事长及总经理。 董事(离任)、副总经理 1959年生,美国国籍,上海交通大学学士,美国麻省理工学院硕士、博士。1990年至1999年,历任PraxairInc.高级工程师、经理、董事总经理等;1999年至2001年,担任应用材料全球供应管理经理;2001年至2004年,担任梅特勒-托利多上海子公司总经理;2004年至今,历任中微公司副总裁、资深副总裁、首席运营官。现任中微公司副总经理。 倪图强 1962年生,美国国籍,中国科学技术大学学士、硕士,美国德州大学博士、博士后。1995年至2004年,担任泛林半导体技术总监;2004年8月至今,历任中微公司执行总监、副总裁,现任中微公司副总经理。 核心技术人员 1947年生,美国国籍,台湾大学学士、美国马里兰大学博士。1985年至1989年,担任英特尔资深工程师;1989年至2003年,担任应用材料资深总监;2004年1月至2004年6月,担任英特尔项目经理;2004年8月至2020年3月,任中微公司副总裁。现任中微公司核心技术人员。 核心技术人员 1966年生,美国国籍,西安交通大学学士、硕士。1993年至1995年,担任智群科技股份有限公司项目经理;1995年至2004年,担任应用材料软件部资深总监;2004年至今,担任中微公司核心技术人员。 核心技术人员 1958年生,美国国籍,复旦大学学士、美国韦恩大学硕士、美国纽约大学硕士。1990年至1995年,担任美国索尼资深电气工程师;1995年至2004年,担任应用材料亚太项目经理;2004年9月至今,担任中微公司核心技术人员。 公司2022年实现营业收入47.40亿元,同比增长52.50%,持续快速增长;净利润为11.70亿元,同比增长15.66%;扣非净利润为9.19亿元,同比增长183.44%。 2022年专用设备(刻蚀机、MOCVD设备)占比达81.17%,备品备件占比17.62%,半导体设备是公司的核心业务。 公司2022年的毛利率为45.74%,净利率为24.64%,扣非净利率为19.40%。 分业务情况:公司2022年刻蚀设备收入31.47亿元,同比+57.1%,毛利率达47.0%,MOCVD设备收入7.0亿元,同比+39.2%,毛利率达37.0%;备品备件收入为8.35亿元,同比+50.3%,毛利率为46.88%。 订单情况:公司2022年新签订单为63.20亿元,同比+53.03%。 公司2023Q1营业收入约12.23亿元,同比+28.86%;归母净利润为2.75亿元,同比+134.98%;扣非归母净利润为2.28亿元,同比+22.22%;毛利率为45.9%,净利率为22.5%,扣非净利率为18.6%。 分业务情况:公司23Q1刻蚀设备收入为8.14亿元,同比+13.94%,毛利率达到47.29%;MOCVD设备收入1.67亿元,同比+300.48%,毛利率达到40.09%。 公司23Q1合同负债为23.20亿元,相 比22Q4增长5.7%;存货为37.05亿元,相比22Q4增长8.9%。 根据SEMI最新预测数据(2022年12月),原设备制造商的半导体制造设备全球总销售额预计将在2022年创下1085亿美元的新高,连续三年创纪录,较2021创下的1025亿美元行业纪录增长5.9%。预计2023年全球半导体制造设备市场总额将收缩至912亿美元,2024年将在前端和后端市场的推动下反弹。 22Q4中国大陆半导体设备季度销售额为63.6亿美金,同比-22.2%,在全球半导设备市场中的占比约23%; 2021-2022年中国大陆半导体设备季度销售额在全球半导体设备市场中的占比在20%-33%之间; 根据Gartner数据统计及预测,2022年光刻设备、薄膜沉积设备、刻蚀设备和前道检测设备的市场规模分别为1160亿元、1540亿元、1550亿元和825亿元,其中中微公司已全面覆盖刻蚀设备及部分薄膜沉积设备,并投资布局了前道检测设备; 根据Gartner数据统计,2011-2021年前道设备年均增速干法刻蚀和化学薄膜沉积设备分别为16.4%和13.4%,排名前二; 目前湿法刻蚀占比10%,干法刻蚀市场占比90%,湿法刻蚀一般适用于尺寸较大的情况下(大于3微米)以及用来腐蚀硅片上某些层或用来去除干法刻蚀后的残留物。 10% CCP 90% ICP CCP属于中密度等离子体,ICP则属于高密度等离子体。CCP技术的发明早于ICP,但由于其特点的不同,两类技术并非相互取代,而是相互补充的关系。刻蚀通过与光刻、沉积等工艺多次配合可以形成完整的底层电路、栅极、绝缘层以及金属通路等。从难度上讲,硅刻蚀最难,其次介质刻蚀,最简单的是金属刻蚀。 ICP 48% CCP 49% ECR 3% CCP 40-50% ICP 50-60% 根据Gartner数据统计,22年刻蚀设备、光刻机、薄膜沉积设备和工艺控制设备在半导体设备中的占比分别为22%、17%、22%和12%; 22年刻蚀设备市场规模为1550亿元,其中CCP和ICP刻蚀机占比分比为47.5%和47.9%; MOCVD是在气相外延生长(VPE)的基础上发展起来的一种新型气相外延生长技术,不同于传统的硅半导体,这些半导体可以包含的组合III族和V族,II族和VI族,IV族或第IV族,V和VI族的元素。MOCVD是目前半导体化台物材料制备的关键技术之一,广泛应用于包括半导体器件、光学器件、气敏元件、超导薄膜材料、铁电/铁磁薄膜、高介电材料等多种薄膜材料的制备。MOCVD设备可用于LED、功率器件等多个领域,是LED芯片生产过程中最为关键的设备,其工艺、技术极为复杂,也是LED芯片制造环节中最为昂贵的设备,占据LED外延芯片几乎一半的成本。 低压化学气相淀积(LPCVD)是指系统工作在较低的压强下的一种化学气相淀积的方法。这种技术淀积出来的薄膜均匀性和台阶覆盖性较好,且具有较低的淀积速率和较高的淀积温度。LPCVD采用最高温度来沉积薄膜,通常在600℃以上,压力在10-1,000Pa之间,温度决定薄膜的厚度和纯度,温度越高,薄膜越厚,纯度越高。LPCVD技术不仅用于制备硅外延层,还广泛用于各种无定形钝化膜及多晶硅薄膜的淀积,是一种重要的薄膜淀积技术。常见的沉积薄膜:多晶硅薄膜、掺杂&未掺杂的氧化物薄膜、氮化物薄膜。 外延(EPI)工艺是指在单晶衬底上生长单晶材料层,新生长的单晶层的晶向通常与衬底的晶向相同,生长有外延层的衬底片叫做外延片。常用的外延技术包括气相、液相和分子束外延等,其中CVD外延是集成电路工艺中应用最为广泛; 外延层可以是同质外延层(Si/Si),也可以是异质外延层(SiGe/Si或SiC/Si等)。用于CVD生长硅外延层的反应剂主要有4种:四氯化硅、二氯硅烷、三氯氢硅和硅烷,其中硅烷外延温度较低,可以减少自掺杂效应和扩散效应等,近年来应用较多。另外,通过在反应气体中增加氢化物杂质掺杂源(如磷烷)得到掺杂的外延层。 ALD设备是一种可以将反应材料以单原子膜形式通过循环反应逐层沉积在硅片表面,形成对复杂形貌的基底表面全覆盖成膜的专用设备,可以实现高深宽比、极窄沟槽开口的优异台阶覆盖率及精确薄膜厚度控制。 PE-ALD是利用等离子体增强反应活性,提高反应速率,具有相对较快的薄膜沉积速度、较低的沉积温度等特点,适用于沉积硅基介质薄膜材料; Thermal-ALD是利用热能使反应物分子吸附在基底表面,再进行化学反应,生成薄膜,具有相对较高的反应温度、优越的台阶覆盖率、高薄膜质量 等特点,适用于金属、金属氧化物、金属氮化物等薄膜沉积; 2022年薄膜沉积设备在半导体设备中的占比约22%,全球市场规模229亿美金,其中ALD、LPCVD、EPI和MOCVD设备市场规模分别为30亿、22亿、16亿和5亿美金,这四种设备中微公司均有涉足。 公司在刻蚀设备、MOCVD设备等设备产品研发、市场布局、新业务投资拓展等诸多方面取得了较大的突破和进展,产品不断获得海内外客户的认可; 公司在聚焦核心业务集成电路设备的同时积极探索布局包括健康领域在内的新业绩增长点,子公司中微惠创、中微汇链、芯汇康及公司参与投资的标的公司在各自细分领域取得了卓有成效的进展; CCP刻蚀机:PrimoAD-RIE®、PrimoSSCAD-RIE®、PrimoHD-RIE®等产品已广泛应用于国内外一线客户的集成电路加工制造生产线。在先进逻辑器件方面,在国际最先进的5nm芯片生产线及下一代更先进的生产线上均实现了多次批量销售;在存储器件方面,不仅在3DNAND的生产线被广泛应用,还成功通过了多个DRAM的工艺验证,并取得了重复订单。 ICP刻蚀机:在原有的单台机NanovSE的基础上,推出了用于高深宽比结构刻蚀的NanovaVE和用于高均匀性刻蚀的NanovaUE两种设备,在全面满足55nm、40nm和28nm逻辑芯片制造中的刻蚀工艺的基础上,拓展了在DRAM、3DNAND存储芯片和特色器件等芯片制造中的可刻蚀应用范围。在原有的双台机PrimoTwin-Star®的基础上,推出了Twin-StarSE产品,不仅扩展了在功率器件、Micro-LED、MetaLens等特色器件的刻蚀市场,也让客户在不同芯片种类的各种刻蚀应用上有了高产出、高性价比的双台机可供选择。 CCP刻蚀机:2022年针对逻辑器件的一体化大马士革刻蚀工艺和存储器件的极高深宽比刻蚀技术(60:1)取得良好进展。 ICP刻蚀机:在超过20个客户的逻辑、DRAM和3DNAND等器件的生产线上进行超过100多个工艺的量产,截止22年底PrimoNanova®系列产品在客户端安装腔体数已达到297台,PrimoTwin-Star®在海内外多个客户的产线上实现量产,并取得重复订单; 8英寸和12英寸深硅刻蚀设备PrimoTSV200E®、PrimoTSV300E®在晶圆级先进封装、2.5维封装和微机电系统芯片生产线等成熟刻蚀市场继续获得重复订单的同时,在300mm的3D芯片的硅通孔刻蚀工艺上得到成功验证,并在欧洲客户300mm微机电系统芯片的生产线上