您的浏览器禁用了JavaScript(一种计算机语言,用以实现您与网页的交互),请解除该禁用,或者联系我们。[华西证券]:走进“芯”时代系列深度之五十七“国产算力SoC”:算力大时代,处理器SoC厂商综合对比 - 发现报告
当前位置:首页/行业研究/报告详情/

走进“芯”时代系列深度之五十七“国产算力SoC”:算力大时代,处理器SoC厂商综合对比

电子设备2022-08-22孙远峰、王臣复、王海维、刘奕司华西证券如***
走进“芯”时代系列深度之五十七“国产算力SoC”:算力大时代,处理器SoC厂商综合对比

仅供机构投资者使用证券研究报告 华西证券电子团队—走进“芯”时代系列深度之五十七“国产算力SoC” 算力大时代,处理器SoC厂商综合对比 孙远峰/王臣复/王海维/刘奕司 SACNO:S11205190800052022年8月22日 请仔细阅读在本报告尾部的重要法律声明 1 核心逻辑 自研IP是长期发展之道:简单来说,处理器SoC是围绕着CPU构建的一整套微系统,针对不同的应用场景,对于配套模块和操作系统、软件算法有所差异。处理器厂商在面对竞争时,所提供的产品首先需要能满足产业基本需求,然后通过所构建的相对竞争优势获取目标市场份额,这种相对优势可以是性能、功耗,也可以是成本、性价比,但无论从哪个维度切入,自研IP都是唯一的解决之道。IP自研即包括ISP、视频编解码、AI等算法层面,也包括CPU、GPU、NPU等硬件架构层面,后者难度更高,投入规模更大。 市场扩容&国产替代并行:处理器SoC面对的是一个超过千亿美元的市场,并且伴随着自动驾驶、AIOT、工业互联网等多领域的向前演进,整个行业正在迈入新一轮的扩张周期。一方面,部分国内厂商目前已经在如安防、机顶盒、商显等传统赛道上完成了中低端产品的替代,目前处于向更高端料号演进中,另一方面,在智能座舱、自动驾驶、AIOT、VR/AR、智能手表等大量新兴领域和市场中,国内厂商也在加紧产品料号布局和客户导入,未来会有更多机会释放出来。 战略重心有所差异化,持续升级以期更大市场份额:国内与算力相关的公司超过30家,按大类来看可分为CPU类、FPGA类、GPU类、SoC类,由于各自面对的是不同的终端市场,公司的技术侧重和客群结构等存在差异化,发展也处于相对早期,彼此之间的竞争整体来看目前还比较弱化。经过多年发展,国内几家处理器SoC上市公司均实现了超10亿元以上的处理器芯片销售规模,在战略发展方向上有着清晰的发展路径,在技术领域形成了各自的相对优势,在客户结构上建立了自己的生态。国内厂商虽然距离全球一线大厂无论从营收规模还是技术布局上都存有明显差距,但是目前均处于产品快速迭代期,一方面推动产品往更先进制程演进,另一方面在新赛道加快产品布局,有机会获取更大的市场份额。 估值处于历史相对低位:从赛道属性来看,处理器SoC具备较高的复合壁垒,即包括硬件、操作系统、算法、客户准入四大方向构建的研发和客户开拓门槛,也包括了整体走向更先进制程的难度和庞大开销;从市场发展来看,处理器SoC是各种类型智能终端硬件的核心器件,未来全球走向更加智能化的核心驱动力;从全球一线龙头高通、联发科的历史估值发展来看,历史PEG均在1倍以上,遇到产业高景气周期时,个股PE(TTM)会更高。目前国内处理器SoC厂商的PE(TTM)均低于历史平均值,处于相对低位。 【重点推荐】:瑞芯微、晶晨股份、北京君正、全志科技、富瀚微、恒玄科技、芯原股份-U等 【核心受益】:国科微、中科蓝讯等 【产业关注】:星宸科技、紫光展锐等 风险提示:宏观经济波动、新冠疫情反复等系统性风险;半导体贸易战加剧导致产业链发展国产化进程可能低于预期;供应链产能、价格等因素波动带来的风险;市场竞争加剧引发的风险;新品开拓不及预期的风险;下游需求不及预期的风险。。2 目录 一、处理器SoC技术壁垒 二、市场空间与竞争格局 三、处理器芯片厂商对比 四、估值分析,以海外龙头发展历史来看 五、风险提示 3 一、处理器SoC技术壁垒 4 SoC称为系统级芯片,也称片上系统,是一个有专有目标的集成电路,其中包含完整系统并有嵌入软件的全部内容。通俗理解,就是将目标系统运转涉及的多种功能通过一颗芯片来实现,因此既要有硬件组成,又要有软件写入,一般包含了完整的系统、软件及算法。 从终端应用场景来看,不同场景需要的功能不同,对于软硬件和算法的需求也有差异,这构成了SoC在不同场景下的区别。 资料来源:CSDN,华西证券研究所 系统外围设备 多媒体界面,实现视频或图像信号的输入和在屏幕等界面上的显示 CPU,SoC芯片的中央处理单元,基于该CPU运行系统软件/应用软件,配合SoC芯片内部的 其他硬件模块,实现产品的各种功能接口,实现SoC 外部存储器接口 芯片和其他芯片或外设的连接,用于SoC芯片外接存储器、摄像头、USB设备等或用于实现各种高速数据传输。 多媒体处理单元,包括GPU单元、编码器、解码器、ISP等,是终端应用核心关注模块之一。 嵌入式内存 5 将多功能集成的实现方式一般包括两种:SoC和SiP(系统级封装)。从架构上来讲,SiP是将多种不同功能的单独的芯片,包括处理器、存储器等,通过并排或叠加的封装方式集成在一个封装内,从而实现一个基本完整的功能。而SOC则是高度集成的一颗单独的芯片产品。 SoC有着更高的集成度、更小的面积、更低功耗等多方面的优势,目前还在沿着摩尔定律方向演进,但是多功能的集成会受到材料和IC工艺的限制;SiP可以将各种工艺的器件进行集成,开发周期较短,是未来超越摩尔定律的必然选择路径。 后摩尔时代集成电路的发展 SoC与SiP对比 资料来源:半导体行业观察,华西证券研究所 资料来源:CSDN,华西证券研究所6 中央处理器(CPU)作为计算机系统的运算和控制核心,是信息处理、程序运行的最终执行单元。应用处理器SoC是在中央处理器的基础上扩展音视频功能和专用接口的超大规模集成电路,是智能设备的“大脑”,在智能设备中起着运算及调用其他各功能构件的作用。 CPU内部主要由运算器、控制器、寄存器三大部分组成。运算器负责算术运算(+、-、*、/基本运算和附加运算)和逻辑运算 (包括移位、逻辑测试或比较两个值等);控制器负责应对所有的信息情况,调度运算器把计算做好;寄存器它们可用来暂存指令、数据和地址。既要对接控制器的命令,传达命令给运算器;还要帮运算器记录处理完或者将要处理的数据。 CPU构成 资料来源:维基百科,华西证券研究所 计算机软硬件结构 资料来源:头条@大话IT,华西证券研究所 7 计算机指令(Instruction)是计算机硬件直接能识别的命令,以其复杂性可被分类为复杂指令集架构(CISC)和精简指令集架构(RISC)两大类。X86架构是目前唯一的主流复杂指令集;ARM架构作为目前最成功RISC架构。 CPU行业目前由两大生态体系主导:一是基于X86指令系统和Windows操作系统的Wintel体系,垄断个人计算机和服务器处理器市场;二是基于ARM指令系统和Android操作系统的AA体系,主导了智能手机和物联网芯片处理器市场。前者生态相对封闭,后者生态相对开放,芯片厂商需要获得ARM的授权。ARM授权分三种,架构层级授权可深度定制,投入规模大,玩家少;内核层级授权是处理器SoC厂商主要采用的;使用层级授权是最低的授权等级,只能使用封装好的ARM芯片,而不能进行任何修改。 指令集分类 资料来源:芯语,华西证券研究所 ARM授权方式 资料来源:芯语,华西证券研究所 8 RISC-V架构同属于RISC架构,以开源为最大特色,起步相对较晚,但发展很快,有望成为第三大主流架构。 更强大算力和性能的提升离不开ARM内核的支持,更先进制程则可以带来性能与功耗的平衡,比如采用7nm(纳米)技术制作的CPU肯定比14nm(纳米)技术制作的CPU在晶体管数量、处理速度、功耗以及温升等方各面都会高出一个数量级。跨越到10nm以下,越进一步,难度越大,研发、流片等投入也呈几何指数增长。 工艺演进对于数字芯片的优势 资料来源:瑞芯微招股书,华西证券研究所 IRDS预测摩尔定律先进制程技术发展路径图(2018-2034) 资料来源:ExtremeTech,华西证券研究所 9 处理器SoC涉及到的模块众多,包括了硬件、软件两部分:从硬件层面来看,包括CPU、GPU、NPU、VPU、DSP、RAM、ADC/DAC、Modem、电源管理模块、外围设备控制模块等等,不同用途SoC的硬件构成会有所差异;软件层面即包括各模块的算法,如ISP算法、视频编解码算法、音频编解码算法等,也包括众多操作系统,如Android、Linux等。 一颗处理器SoC涉及众多模块 10 自研IP即可义满足定制化开发的需求,也能使得芯片厂商有能力持续优化芯片成本、功耗、性能等,构筑赛道竞争力。但是自研IP也要面对投入规模相对较大、与公版IP性能对比等诸多挑战。 高通820CPU核心组成 部分模块 主要功能 CPU S中央处理单元,基于该CPU运行系统软件/应用软件,配合SoC芯片内部的其他硬件模块,实现产品的各种功能。 GPU S图形处理单元,基于该GPU实现可运行于SoC芯片的各种游戏、各种图形UI界面的渲染和特效、高性能计算等。 NPU 嵌入式神经网络处理器,AI芯片的代表,采用“数据驱动并行计算”的架构,特别擅长处理视频、图像类的海量多媒体数据。 ISP 图像信号处理器,是用来对前端图像传感器输出信号进行处理的单元,通过图像重建、色彩重建等处理流程,对原始图像的质量进行优化 BP 基带处理器,主要功能为支持几种通信标准,提供多媒体功能以及用于多媒体显示器、图像传感器和音频设备相关的接口 总线 用于SoC芯片内部主设备和从设备之间的数据访问和互联互通,高性能的实现各种主设备同时访问多个从设备。 接口 实现SoC芯片和其他芯片或外设的连接,用于SoC芯片外接存储器、摄像头、各种显示屏(包括电视)、USB设备等或用于实现各种高速数据传输。 资料来源:知乎,瑞芯微招股书,华西证券研究所 移动端芯片综合性能排行 11 数字芯片竞争激烈,对于芯片厂商来说需要面临性能、功耗、成本等各方面的综合考量,若想各方面均达到最优,需要硬件、算法、操作系统、制程等各方面的配合。苹果的强大即来自于从算法到操作系统的自主开发,也来自于大部分芯片模块的自研。全栈自研具有极大的开发难度和需要大量的投入,苹果之路基本不可复制,市场上有独立的第三方IP公司。我们认为,在硬件架构、操作系统、算法、制程四个维度上,算法的自研难度相对较低,是处理器公司提升竞争力的第一步,制程的升级伴随着节点的演进难度呈几何指数增长,硬件架构和操作系统的自研最难。 部分旗舰手机处理器型号“自主”和“公版”设计一览 类别 高通(骁龙888) 联发科 (天玑9000) 海思 (麒麟9000) 三星(Exynos2000 ) 苹果(A15) CPU ARM公版(CortexX1+A78+A55) ARM公版(CortexX2+A710+A510) ARM公版(CortexA77+A55) ARM公版(CortexX1+A78+A55) 自主设计 GPU 自主设计(Adreno660) ARM公版(Mali-G710MP10) ARM公版(Mali-G78MP24) ARM公版(MaliG78MP14) 自主设计 NPU 自主设计(第六代高通AI引擎) 自主设计(APU590) 自主设计(达芬奇架构2.0) 自主设计(TripleNPU+DSP) 自主设计 ISP 自主设计(Spectra580) 自主设计(第7代ImagiqISP) 自主设计 自主设计 自主设计 BP 自主研发,SOC集成 自主研发,SOC集成 自主研发,SOC集成 自主研发,SOC集成 非自主,SOC集成高通X60 资料来源:极客湾,华西证券研究所整理 AI运算指以“深度学习”为代表的神经网络算法,需要系统能够高效处理大量非结构化数据(文本、视频、图像、语音等)。需要硬件具有高效的线性代数运算能力,计算任务具有:单位计算任务简单,逻辑控制难度要求低,但并行运算量大、参数多的特点。对于芯片的多核并行运算、片上存储、带宽、低延时的访存等提出了较高的需求。 芯片厂商一方面在智能分析算法方面需要具有很强的技术积累;另一方面,在集成电路设计工艺上有越来越多的产品采用更先进的工艺,如40nm甚至28nm工艺,