您的浏览器禁用了JavaScript(一种计算机语言,用以实现您与网页的交互),请解除该禁用,或者联系我们。[平安证券]:半导体行业系列专题(三)之先进封装:先进封装大有可为,上下游产业链将受益 - 发现报告
当前位置:首页/行业研究/报告详情/

半导体行业系列专题(三)之先进封装:先进封装大有可为,上下游产业链将受益

电子设备2024-01-21-平安证券李***
半导体行业系列专题(三)之先进封装:先进封装大有可为,上下游产业链将受益

证券研究报告 半导体行业系列专题(三)之先进封装:先进封装大有可为,上下游产业链将受益 半导体行业强于大市 证券分析师 付强投资咨询资格编号:S1060520070001徐勇投资咨询资格编号:S1060519090004徐碧云投资咨询资格编号:S1060523070002 2024年01月15日 请务必阅读正文后免责条款 1 投资要点 半导体封测出现底部上扬,先进封装占比逐年走高:封测产业对半导体芯片进行封装、测试与检测,处在半导体产业链的下游,属于资本密集型和人工密集型, 直接对接下游终端,因此下游应用和需求变化直接影响封测行业的技术路线和稼动率。2015年至今,拟合全球半导体销售同比与A股三家封测龙头和中国台湾封测收 入同比可看出:封测销售与全球半导体销售呈现较强的一致性,同时封测环节较半导体营收一般会略微提前一个季度,因此可作为监测半导体周期属性的重要指标。 美国BIS抵制&海外大厂扩产,先进封装重要性不言而喻:23年10月17日,美国商务部工业和安全局(BIS)公布新的先进计算芯片、半导体制造设备出口管制规则,意在限制中国发展高端芯片的能力,并将于11月16日正式生效。11月21日,美国宣布了国家先进封装制造计划(NAPMP)项目,此举将在后道封装端抑制中国大陆发展高端高性能芯片,尤其是先进封装领域,其中对封装设备与材料也在制裁名列。 半导体封测设备与材料国产率偏低,国产化进程加快:封装设备分别有固晶机、键合机、曝光机、点胶机、划片机等,2021年划片机、贴片机和引线键合机的国 产化率不足5%,具有广阔的国产替代空间。根据MIRDATABANK数据表明,2021年中国大陆各类封装测试设备的市场规模均有高速增长,探针台、引线键合、贴片机设备甚至接近翻倍增长,增速都在80%以上。封装材料有封装载板、引线框架、环氧树脂、CMP等,如环氧树脂领域,以华海诚科为代表的内资企业在突破中高端领域,加速产业升级和国产替代。 投资建议:2024年,在AIGC等创新和下游需求向好等因素加持下,半导体行业底部基本已过,有望迎来新一轮上涨。后摩尔时代,工艺制程继续缩小遇 到瓶颈,纵向发展的堆叠封装显得愈发重要,同时可提升AI算力芯片性能的先进封装市场前景广阔且国产化进程亟待提速,建议关注该领域龙头厂商:1)封测代工端,推荐甬矽电子,建议关注通富微电、长电科技、晶方科技等;封测厂扩产和下游行情复苏将推动封装上下游设备与材料产业链发展,在先进封装TSV/BUMPING/RDL等领域精细化要求中显得尤为重要。2)设备端,推荐芯碁微装,建议关注光力科技等;3)材料端,推荐鼎龙股份、安集科技,建议关注华海诚科、天承科技、强力新材等。 风险提示:1)市场复苏进度不及预期;2)美国对中国半导体行业制裁趋严;3)国产替代不及预期。 目录CONTENTS 周期复盘:封测底部上扬,先进封装占比逐年走高 竞争格局:台积电等龙头领先,国内厂商产业链完善 驱动端:BIS抵制&海外厂扩产倒逼国内先进封装发展 国产替代:产业链国产化率偏低,设备&材料前景广阔 投资建议及风险提示 半导体行业与社会经济发展关联性高,具有较强的周期性。根据SIA数据和WSTS对全球半导体销售额统计,从2021年底开始,由于疫情、地缘政治和通货膨胀等影响,半导体进入下行周期,直至2023年底,随着消费电子逐渐复苏、算力建设投入加大,工业、汽车等赛道有望带来新的增长点,行业底部已基本确认,将进入上升复苏通道,预计2024年将有超10%以上的同比增速。 1990年以来全球半导体行业市场规模月度增速WSTS对全球半导体行业整体最新预测(2023.11) 资料来源:SIA,WSTS,平安证券研究所 半导体封测环节是监测半导体周期属性的重要关口:封测产业处在半导体产业链的下游,主要作用为对半导体芯片进行封装、测试与检测,属 于资本密集型和人工密集型,直接对接下游终端,因此下游应用和需求变化将直接影响封测行业的技术路线和稼动率,二者之间存在强大的联 动作用与配合机制。因此,与前道晶圆端一样,后道封测产业也是监测半导体周期的重要指标。 半导体产业链及相关供应商 资料来源:Yole,平安证券研究所 半导体周期底部已筑,封测板块出现上扬:根据WSTS数据,2015年至今,拟合全球半导体销售同比与A股三家封测龙头和中国台湾封测收入同 比可看出:封测销售与全球半导体销售呈现较强的一致性,因此可作为监测半导体周期属性的重要指标。2023年11月,全球半导体销售收入同 比已出现正增长为5.3%,呈现上扬趋势,可见当前半导体周期和封测周期底部已筑,预计2024年即将开启新一轮上涨。 全球半导体销售季度同比vsA股三家封测公司营收累计同比中国台湾封测收入当月同比vs全球半导体销售收入当月同比 A股三家封测公司为长电科技、通富微电和华天科技 资料来源:Wind,WSTS,平安证券研究所 “后摩尔时代”,随着集成电路工艺制程的越发先进,对技术端和成本端也均提出了巨大挑战: 技术端驱动:2015年以后,集成电路制程的发展进入了瓶颈期,7nm、5nm、3nm制程的量产进度均落后于预期。随着台积电宣布2nm制程工艺 实现突破,集成电路制程工艺已接近物理尺寸的极限,集成电路行业进入“后摩尔时代”。 成本端驱动:根据国际商务战略公司IBS调查数据显示,从22nm往后的工艺制程,每一代的总成本支出的增长率均超过50%。7nm工艺制程的总成本约为3亿美元,5nm则更高将近5.5亿美元。对产品开发而言,产品进入到大规模量产前需多次流片验证,因此所带来的费用支出呈倍数增加。 根据TIP预测数据,全球先进封装在集成电路封测市场中所占份额将持续增加,预计2025年占整个封装市场的比重接近于50%。 不同工艺节点下的成本结构 2020-2030传统封装与先进封装占比预测 资料来源:IBS,TIP,平安证券研究所 先进封装技术能在不单纯依靠芯片制程工艺实现突破的情况下,通过晶圆级封装和系统级封装,提高产品集成度和功能多样化,满足终端应用 对芯片轻薄、低功耗、高性能的需求,同时大幅降低芯片成本。 按封装形式划分,先进封装一般可分为ED、2.5D/3D、FO、WLCSP、SiP、Flip-Chip等,根据Yole预测,到2028年全球先进封装市场规模785亿美元,其中占比最大的是Flip-Chip,其次是2.5D/3D,整体2022-2028年CAGR约10%。 在高端封装领域,主要有HBM/3D/Co-EMIB/SiBridge等,根据Yole预测,到2028年市场规模最大的是3DNAND,约67.8亿美元,2022-2028年CAGR约45%。 2022-2028年全球先进封装市场规模预测2022-2028年全球高端封装占比预测 资料来源:Yole,平安证券研究所 目录CONTENTS 周期复盘:封测底部上扬,先进封装占比逐年走高 竞争格局:台积电等龙头领先,国内厂商产业链完善 驱动端:BIS抵制&海外厂扩产倒逼国内先进封装发展 国产替代:产业链国产化率偏低,设备&材料前景广阔 投资建议及风险提示 半导体封装技术的演进,推动着集成电路的发展,目前传统封装已相当成熟,正经历着2.5D封装到3D封装的转换。3D集成和2.5D集成的主要区别在于:2.5D封装是在中介层Interposer上进行布线和打孔,而3D封装是直接在芯片上打孔和布线,连接上下层芯片堆叠,相对来说,3D封装要求更高,形式也更多样。 先进封装技术发展最明显的特征就是更精细化,因此晶圆端前道厂商技术领先后道厂商,台积电、英特尔和三星等厂商在3D封装已有突破,而日月光、长电科技等传统封装厂商则在2.5D封装布局。 传统封装与先进封装的技术演进 先进封装的主要技术路线:I/OPitch和RDL-LS 进一步细分到先进封装的关键技术节点,不同的封装形式有不同的判断标准。一般来说区别各家封装厂3D封装技术能力的好差标准之一是TSV Diameter、I/OPitch、RDL-LS的精度等。 根据Yole统计,目前一般先进封装BumpI/OPitch大约在50um左右,3DStackPitch约10um左右,预计到2029年将突破5um。3D高端封装里TSVDiameterW2W(WafertoWafer)约为1.5-2um,预计26年后最细至1um;BondPitchW2W约0.8-1.1um,预计26年后最细至0.5um;WaferThicknessW2W约15-20um,预计26年后可至10um。 常见先进封装细分指标拆解 3D高端先进封装主要参数更精细化 高性能封装技术主要包括:超高密度扇出封装(ultra-highdensityfan-out,UHDFO)、2.5Dinterposer、3Dstackedmemories、embeddedSibridge和hybridbonding,其关键技术基本掌握在世界头部封测企业(OSAT)、先进的晶圆代工厂和IMD手中,如长电科技、日月光、安靠、台积电(TSMC)、三星(Samsung)和英特尔(Intel)等。 先进半导体封装的参与者非常多,其解决方案涵盖(超)高密度扇出(有机中介层)、3D片芯堆叠、2.5D硅中介层、2.5D嵌入式硅桥、3D堆叠存储器等几大类。龙头代工厂及其解决方案当然还是台积电(InFO,集成扇出)、日月光(FOCoS,芯片后装的基板上扇出芯片)、三星 (2.5DRDL(再分布层))、AmkorTechnology(S-SWIFT,高密度扇出线)等。 半导体封测头部大厂在先进封装领域的技术节点台积电在先进封装技术上保持领先 国内封测企业按照技术储备、产品线情况、先进封装收入占比等指标,一般可分为三个梯队: 第一梯队企业已实现第三阶段焊球阵列封装(BGA)、栅格阵列封装(LGA)、芯片级封装(CSP)稳定量产,且具备全部或部分第四阶段封装 技术量产能力(如SiP、Bumping、FC),同时已在第五阶段晶圆级封装领域进行了技术储备或产业布局(如TSV、Fan-Out/In)。 全球半导体封装产业链主要地区有北美、亚洲和欧洲等,各地区基本已完成从封装设计、工艺、XPU供应、基板、系统级设计、封装实现等全过程,可形成闭环效应。中国大陆在封装领域,封装设计以华为、比亚迪半导体为代表,封装代工以长电科技、通富微电、华天科技为代表,终端用户以阿里、腾讯、百度为代表,已具备完整的封装产业链,因此不仅在技术上还是在产业链完整度上,均已跻身国际第一梯队。 半导体封装领域发展的五个阶段全球半导体先进封装产业链 资料来源:甬矽电子招股说明书、Yole,平安证券研究所 长电科技XDFOI技术:公司XDFOI技术为2.5D超高密扇出型封装,可将不同的弄能器件整合在系统封装内,对集成度和算力有较高要求的超算领 域,如FPGA、CPU、GPU、AI和5G网络芯片等方面应用较多,将推动信息技术的高速发展。 通富微电VISionS技术:公司VISionS为基于超算的2.5D/3D先进封装技术,可实现多层布线技术开发,将不同工艺不同功能的Chiplet芯片进行高密度集成,可为客户提供晶圆级和基板级封装解决方案。在HBM等存储方向布局,已完成堆叠NANDFlash和LPDDR封装的量产,其3D存储封装技 术国内领先。 华天科技3DMatrix技术:公司3DMatrix技术集成了TSV、eSiFo(Fan-out)、3DSIP等三大先进封装技术,是Chiplet高度集成的重要技术之 一。TSV、eSiFo、3DSiP三大基础技术,均为公司特色工艺,其中Fan-out技术为硅/基板上刻蚀挖槽,将芯片正放置凹槽内,在芯片表面与硅/ 基板表面形成扇出连接,再进行RDL布线与封装。 长江存储Xtacking技术:与传统并列式架构和Cu