您的浏览器禁用了JavaScript(一种计算机语言,用以实现您与网页的交互),请解除该禁用,或者联系我们。[华金证券]:半导体设备系列报告之光刻机:国产路漫其修远,中国芯上下求索 - 发现报告
当前位置:首页/行业研究/报告详情/

半导体设备系列报告之光刻机:国产路漫其修远,中国芯上下求索

电子设备2024-07-18孙远峰、王海维、宋鹏华金证券心***
半导体设备系列报告之光刻机:国产路漫其修远,中国芯上下求索

证券研究报告 半导体设备/行业深度报告领先大市-A(维持) 华金证券电子团队——走进“芯”时代系列深度之八十四“光刻机” 国产路漫其修远,中国芯上下求索 ——半导体设备系列报告之光刻机 分析师: 孙远峰 S0910522120001 分析师: 王海维 S0910523020005 联系人: 宋鹏 S0910123030030 2024年07月18日 本报告仅供华金证券客户中的专业投资者参考请仔细阅读在本报告尾部的重要法律声明 光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级。分辨率由光源波长、数值孔径、光刻工艺因子决定.(1)光源波长(λ)——光源:其他条件不变下,光源波长越短,光刻机分辨率越高。在EUV光源方面:LLP光源较为稳定,且碎屑量较低,适用于大规模量产。高功率、转换效率为EUV光刻必要条件。液滴Sn靶易于操控,转换效率较高。加入预脉冲可以极大提高CE,双脉冲成为主流。Cymer与Gigphoton几乎垄断全球激光光刻机光源产业,科益虹源弥补技术空白。稳态微聚束(SSMB)为极紫外光的产生提供新方法,有望实现弯道超车;(2)数值孔径(NA)——物镜:其他条件不变下,数值孔径越大,光刻机分辨率越高。从“双腰”到“单腰”,引入非球面镜片改变物镜结构。折反式使用较少光学元件实现更大数值孔径并实现场曲矫正。浸没式光刻提供更大焦深并支持高NA成像。高端光学元件超精密制造技术及装备成为制约高端装备制造业发展重大短板;(3)工艺系数——计算光刻技术:OPC对掩膜图形进行预畸变处理,补偿光学邻近效应误差。SMO结合SO与OPC技术,提高设计自由度,扩大工艺窗口。多重图形技术(MPT)中,LELE主要原理为化繁为简,SADP,一次光刻后相继使用非光刻工艺实现图形密度加倍。ILT已知光刻结果,反推出光源、光掩膜等调整参数。国内市场被国际巨头垄断,东方晶源、宇微光学填补国内空白。(4)双工作台系统:精确对准+光刻机产能的关键。 行业一超两强格局稳定,新建晶圆厂&产线扩产&下游需求蓬勃发展拉动光刻机需求。EUV光刻增速最快,KrF与l-line仍为主要需求类型,ASML为EUV光刻机独家供应商。库存调整结束+高性能计算+内存需求增加推动晶圆厂设备支撑复苏。全球新建晶圆厂&产线扩产带动光刻机需求,其中中国预计至2024年底建立50座大型晶圆厂。叠加人工智能等技术发展,带动产业智 能化升级,2030年半导体规模有望破万亿美元。 师夷长技以制夷,星星之火可燎原。ASML与上下游龙头公司紧密合作,产学研深入发展带动技术革新,进而巩固光刻机绝对龙头地位;Nikon核心技术自主可控,以高质量产品、高附加服务为导向,构建良性生态循环;Canon通过技术整合赋能新价值,押注纳米压印光刻。目前,从光刻机核心技术领域分析,针对准激光光源,科益虹源主要研发248nm准分子激光器、干式193nm准分子激光器等;福晶科技研发KBBF晶体;中科院研发40瓦干式准激光光源;针对光学镜头,国望光学研发90nm节点ArF光刻机曝光光学系统、110nm节点KrF光刻机曝光光学系器统,中科科仪研发直线式劳埃透镜镀膜装置、纳米聚焦镜镀膜装置等。国科精密作为国家科技重大专项02专项支持的唯一高端光学技术研发单位,正在承担NA为0.82、NA为1.35等多种类型高端IC制造投影光刻机曝光光学系统的技术研发及产业化推进工作;华卓精科是上海微电子光刻机工件台供应商,作为世界上第二家掌握双工件台核心技术的公司,华卓精科成功推出第一台满足65nm光刻机需求的双工件台样机,打破ASML公司在工件台上技术垄断。 投资建议:光刻机技术是半导体工艺中的关键,决定了芯片晶体管尺寸大小,直接影响芯片性能和功耗。自美国对中国半导体制裁起,光刻机对国内半导体行业发展及集成电路产业链自主可控重要性日益凸显。建议关注光刻机产业链“卡脖子”环节中技术积累较深或直接/间接进入ASML/上海微电子等供应链环节厂商。如芯碁微装(直写光刻)、富创精密(零部件)、炬光科技(光学器件)、赛微电子(物镜)、波长光电(光源)、奥普光电(整机)、腾景科技(光学器件)、福晶科技(光源)、茂莱光学(光源)、电科数字(计算/控制模块)、新莱应材(零部件)、美埃科技/蓝英装备(洁净设备)、同飞股份/海立股份(温控)、东方嘉盛(服务)、上海微电子(整机,未上市)、华卓精科(工件台,未上市)。 风险提示:技术研发风险;宏观经济和行业波动风险;国际贸易摩擦风险。 01 光刻:集成电路制造核心环节 02 技术:光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级 03 04 市场:一超两强格局稳定,新建晶圆厂+产线扩产拉动需求破局:师夷长技以制夷,星星之火可燎原 05 06 相关标的风险提示 01 光刻:集成电路制造核心环节 • 1.1 光刻三剑客:光刻机+光刻胶+光掩膜 • 1.2 光刻机:通过光源将光掩膜上图形投射于硅片 • 1.3 光刻胶:在曝光区发生光固化反应 • 1.4 光掩膜:图形转移工具或母版 • 1.5 集成电路制造流程 • 1.6 集成电路制造资本开支结构 • 1.7 光刻工艺:各步骤环环相扣,光刻机代表产线先进程度 • 1.8 发展历程:投影光刻机为当前IC制造主流选择 02 技术:光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级 •2.1分辨率由光源波长、数值孔径、光刻工艺因子决定 •2.2光源波长(λ)——光源 •2.2.1原理:其他条件不变下,光源波长越短,光刻机分辨率越高 •2.2.2发展:高压汞灯光刻光源→深紫外光光源→极紫外光光源 •2.2.3核心技术(EUV光源):LLP光源较为稳定,且碎屑量较低,适用于大规模量产 •2.2.3核心技术(EUV光源):高功率、转换效率为EUV光刻必要条件 •2.2.3核心技术(EUV光源):液滴Sn靶易于操控,转换效率较高 02 技术:光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级 •2.2.3核心技术(EUV光源):加入预脉冲可以极大提高CE,双脉冲成为主流 •2.2.4现状:Cymer与Gigphoton几乎垄断全球激光光刻机光源产业,科益虹源弥补技术空白 •2.2.5趋势:输出功率、脉冲能量整体呈现上升趋势,光谱线宽呈现收窄趋势 •2.2.6弯道超车:稳态微聚束(SSMB)为极紫外光的产生提 •2.3.2路径(投影方式):折反式使用较少光学元件实现更大数值孔径并实现场曲矫正 •2.3.2路径(像方介质折射率):浸没式光刻提供更大焦深并支持高NA成像 •2.3.3制造:物镜加工精度确保光线高精度聚焦 •2.3.4现状:高端光学元件超精密制造技术及装备成为制约高端装备制造业发展重大短板 供新方法 •2.3数值孔径(NA)——物镜 •2.3.1原理:其他条件不变下,数值孔径越大,光刻机分辨率越高 •2.3.2路径(物镜结构):从“双腰”到“单腰”,引入非球面镜片 •2.4工艺系数——计算光刻技术 •2.4.1光学邻近效应校正(OPC):对掩膜图形进行预畸变处理,补偿光学邻近效应误差 •2.4.2光源-掩膜协同优化技术(SMO):结合SO与OPC技术,提高设计自由度,扩大工艺窗口 02 技术:光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级 •2.4.3多重图形技术(MPT):LELE,主要原理为化繁为简 •2.4.3多重图形技术(MPT):SADP,一次光刻后相继使用非光刻工艺实现图形密度加倍 •2.4.4逆光刻技术(ILT):已知光刻结果,反推出光源、光掩膜等调整参数 •2.4.5现状:国内市场被国际巨头垄断,东方晶源、宇微光学填补国内空白 •2.5双工作台系统:精确对准+光刻机产能的关键 •2.5.1组成:由掩膜台系统、硅片台系统和控制系统三大部分组成 •2.5.2功能:负责对准调平,精密定位,接送硅片 •2.5.3难点:快、准、稳 03 市场:一超两强格局稳定,新建晶圆厂+产线扩产拉动需求 •3.1光刻机产业链图谱 •3.2光刻机供应链核心环节 •3.3发展:技术&成本综合驱动光刻机发展 •3.4格局:一超两强格局稳定,ASML为EUV光刻机独家供应商 •3.5出货:EUV光刻增速最快,KrF与l-line仍为主要 需求类型 03 市场:一超两强格局稳定,新建晶圆厂+产线扩产拉动需求 •3.6现状:浸没式光刻机近乎全部限制出口,上海微电子产品对标CANON •3.7需求 • 3.7.1 经济衰退后出现显著增长,人工智能有望开启新周期 • 3.7.2 25年晶圆厂设备支出有望超1,200亿美元 • 3.7.3 全球新建晶圆厂浪潮带动光刻机需求 • 3.7.4 中国晶圆厂独占鳌头,预计至2024年底建立50座大型晶圆厂 •• 3.7.53.7.6 全球8寸、12寸晶圆产能有望持续提升,带动光刻机需求下游应用蓬勃发展,2030年半导体规模有望破万亿美元 04 破局:师夷长技以制夷,星星之火可燎原 •4.1ASML:光刻机行业绝对霸主,实力远超同业 •4.1.1产品迭代:EUV+DUV全面覆盖市场,EUV负责超高端市场,DUV负责中高端 •4.1.2DUV:NXT平台显著提升KrF及ArF光刻机生产效 率 •4.1.3EUV:首批EXE平台光刻机将于23年交与客户,有望于25年量产 •4.1.4研发与并购:研发费用逐年增长+收购前沿技术公司巩固技术壁垒 04 破局:师夷长技以制夷,星星之火可燎原 •4.1.5供应链:全球化供应链条,供应商共计5,000家 •4.1.6生态:上下游龙头公司紧密合作,产学研深入发展带动技术革新 •4.2Nikon:核心技术自主可控,产品服务导向构建良性生态循环 •4.2.1战略:以高质量与高附加值服务为基础,构建良性生态循环 •4.2.2驱动增长:化繁为简,少即是多,复制数码相机成功道路 •4.2.3技术:核心技术自主可控,贡献长期增长动力 •4.3Canon:技术整合赋能新价值,押注纳米压印光刻 •4.3.1产品:芯片小型化与多样化对设备提出进一步要求 •4.3.2优势:多领域核心技术构筑强大竞争实力 •4.3.3技术:纳米压印较EUV技术功耗降低90%,性价比优势凸显 •4.4国内进展:乘风破浪会有时,直挂云帆济沧海 05 相关标的 •5.1芯碁微装(直写光刻):产品矩阵不断突破,技术参数行业领先 •5.2富创精密(零部件):全球位数不多可供应7nm精密零部件 05 相关标的 •5.3炬光科技(光学器件):相关光场匀化器产品为顶级光学公司供应 •5.4赛微电子(物镜):可生产光刻机透镜系统和MEMS器件 •5.5波长光电:已具备提供光刻机配套的大孔径光学镜头能力 •5.6奥普光电(整机):光机装调技术水平在多应用技术领域处于国内领先水平 •5.7腾景科技(光学器件):合分束器项目处于样品试制阶段 •5.8福晶科技(光源):全球非线性光学晶体龙头厂商 •5.9茂莱光学:精密光学领域稀缺性标的,产品进入上海微电子供应链 •5.10上海微电子(整机):保留光刻革命火种,静待28nm光刻机王者归来 •5.11华卓精科(工件台):国内首家自主研发并商业化的双工件台厂商 06 风险提示 风险提示 请仔细阅读在本报告尾部的重要法律声明 11 01 光刻:集成电路制造核心环节 • 1.1 光刻三剑客:光刻机+光刻胶+光掩膜 • 1.5 集成电路制造流程 • 1.2 光刻机:通过光源将光掩膜上图形投射于硅片 • 1.6 光刻工艺:8个步骤,光刻机代表产线先进程度 • 1.3 光刻胶:在曝光区发生光固化反应 • 1.7 分类:无掩膜光刻机与有掩膜光刻 • 1.4 光掩膜:图形转移工具或母版 • 1.8 光刻机发展历程 02 03 技术:光源、数值孔径、工艺系数、机台四轮驱动,共促光刻产业升级市场:一超两强格局稳定,新建晶圆厂+产线扩产拉动需求 04 05 06 破局:师夷长技以制夷,星星